专利摘要:
定常状態のガス流を用いてシリコン層にフィーチャー(特徴部)をエッチングする方法を提供する。酸素含有ガス及びフッ素含有ガスを含むエッチングガスを用い、エッチングガスからプラズマを発生させた後、エッチングガス流を遮断する。
公开号:JP2011508431A
申请号:JP2010539663
申请日:2008-12-12
公开日:2011-03-10
发明作者:ウィニクゼック・ヤロスロウ;ケビ・ロバート;チェン・ワン−リン;ツェン・リリー;ボガート・ジェフ;マクダネル・エリン;ラッシグ・スティーブン;リン・フランク;ルス・カメリア
申请人:ラム リサーチ コーポレーションLam Research Corporation;
IPC主号:H01L21-3065
专利说明:

[0001] 本発明は、プラズマ処理チャンバ内における、さまざまな物質へのフィーチャーのエッチング形成に関する。本発明は、さらに、シリコンディープエッチングに関する。]
[0002] プラズマ反応器内でエッチングされる物質として、主に、導電層と誘電体層とがある。導電層には、たとえば、金属含有層やシリコン含有層が含まれ、誘電体層には、たとえば、有機系物質や無機系物質が含まれる。]
[0003] 通常、各所定物質は、専用エッチングチャンバでエッチングされる。すなわち、誘電体層は、通常、専用誘電体層エッチングチャンバでエッチングされ、シリコン含有層は、通常、導電層エッチングチャンバで、また、金属含有層は、通常、金属エッチングチャンバでエッチングされる。]
[0004] Robert Bosch GmbH(有限会社)により開発されたボッシュ法に基づくシリコンディープエッチングでは、シリコンエッチングとシリコンの側壁面保護のためのポリマー析出とが、高速で切り替えられる。この方法では、SF6とC4F8が、それぞれ、エッチングサイクル用、析出(不動態化)サイクル用の主要な処理ガスとして用いられる。]
[0005] 本発明の目的を達成するために、本発明の一つの態様は、少なくとも一つの導電層、少なくとも一つの誘電体層、及びシリコン基板にパターニングされたフィーチャーをエッチングする方法を提供する。まず、シリコンウエハーを処理チャンバ内に入れる。ここで、シリコンウエハーには、少なくとも一つの導電層及び少なくとも一つの誘電体層が載置されている。次に、少なくとも一つの導電層にエッチングを行なう。導電層のエッチング処理は、導電層エッチングガスを処理チャンバ内に流入させ、導電層エッチングガスからプラズマを発生させ、導電層エッチングガスから発生させたプラズマを用いて少なくとも一つの導電層にエッチングを行い、導電層エッチングガス流を遮断する。また、少なくとも一つの誘電体層にエッチングを行なう。誘電体層のエッチング処理は、誘電体エッチングガスを処理チャンバ内に流入させ、誘電体エッチングガスからプラズマを発生させ、誘電体エッチングガスから発生させたプラズマを用いて少なくとも一つの誘電体層にエッチングを行い、誘電体エッチングガス流を遮断する。さらに、シリコン基板をエッチングする。シリコン基板のエッチング処理は、シリコンエッチングガスを処理チャンバ内に流入させ、シリコンエッチングガスからプラズマを発生させ、シリコンエッチングガスから発生させたプラズマを用いてシリコンウエハーをエッチングして、シリコンエッチングガス流を遮断する。最後に、ウエハーを処理チャンバから取り出す。]
[0006] 本発明の別の態様は、定常状態のガス流を用いて、シリコンウエハーにフィーチャーをエッチングする方法を提供する。まず、プラズマ処理チャンバにウエハーを入れ、次に、SF6、SiF4、HBr及びO2を含む定常状態のガス流を供給する。定常状態のガス流からプラズマを発生させる。定常状態のガス流から発生させたプラズマを用いてシリコンウエハーにエッチングを行なう。ウエハーをプラズマ処理チャンバから取り出す。]
[0007] 本発明のまた別の態様は、導電層、誘電体層、及びシリコン基板にフィーチャーをエッチングする装置を提供する。装置は、真空チャンバを有するプラズマ処理チャンバを備える。誘電体ウィンドウが、真空チャンバの側面を形成する。少なくとも一つのアンテナが、誘電体ウィンドウに隣接して設置され、プラズマを持続させるための出力を供給する。また、少なくとも一つのアンテナには、少なくとも一つのプラズマ電源が電気的に接続され、5000ワット以上の電力を供給可能である。装置は、さらに、誘電体ウィンドウ冷却システムを備える。誘電体ウィンドウが誘電体ウィンドウ冷却システムに面して配置され、誘電体ウィンドウ冷却システムは、冷却ガス源と、誘電体ウィンドウから離れて配置されるエンクロージャー壁であって、エンクロージャー壁から誘電体ウィンドウまで伸長するエンクロージャーを形成するエンクロージャー壁と、冷却ガスをエンクロージャーに導入する入力管と、エンクロージャーから冷却ガス流を排出させる出力管と、入力管及び出力管内で冷却ガスを動かすポンプと、を備える。装置は、さらに、プラズマ処理チャンバ内部でシリコン基板を支持する基板支持部と、プラズマ処理チャンバ内の圧力を調整する圧力調整器と、プラズマ処理チャンバ内にガスを導入するガス導入口と、プラズマ処理チャンバからガスを排出するガス排出口と、ガス導入口と流体接続されるガス源と、を備える。]
[0008] 本発明のさらに別の態様は、フィーチャーをエッチングする装置を提供する。装置は、プラズマ処理チャンバを備える。プラズマ処理チャンバは、真空チャンバと、真空チャンバの側面を形成する誘電体ウィンドウと、誘電体ウィンドウに隣接し、プラズマを持続させるための出力を供給する少なくとも一つのアンテナと、少なくとも一つのアンテナに電気的に接続される少なくとも一つのプラズマ電源と、プラズマ処理チャンバ内部でシリコン基板を支持する基板支持部と、プラズマ処理チャンバ内の圧力を調整する圧力調整器と、プラズマ処理チャンバ内にガスを導入するガス導入口と、プラズマ処理チャンバからガスを排出するガス排出口と、を備える。ガス源が、ガス導入口と流体接続され、導電層エッチングガス源と、誘電体層エッチングガス源と、シリコン基板エッチングガス源と、を備える。制御装置が、ガス源及び少なくとも一つのアンテナに制御可能に接続され、少なくとも一つのプロセッサと、コンピュータ読み取り可能な媒体と、を備える。コンピュータ読み取り可能な媒体は、導電層をエッチングするためのコンピュータ読み取り可能なコードであって、導電層エッチングガス源からプラズマ処理チャンバに導電層エッチングガスを流入させるためのコンピュータ読み取り可能なコードと、導電層エッチングガスをプラズマに変換させるためのコンピュータ読み取り可能なコードと、導電層エッチングガス源からプラズマ処理チャンバへの導電層エッチングガスの流入を遮断するためのコンピュータ読み取り可能なコードと、を備える導電層エッチング用のコンピュータ読み取り可能なコードを備える。コンピュータ読み取り可能な媒体は、さらに、誘電体層をエッチングするためのコンピュータ読み取り可能なコードであって、誘電体層エッチングガス源からプラズマ処理チャンバに誘電体層エッチングガスを流入させるためのコンピュータ読み取り可能なコードと、誘電体層エッチングガスをプラズマに変換させるためのコンピュータ読み取り可能なコードと、誘電体層エッチングガス源からプラズマ処理チャンバへの誘電体層エッチングガスの流入を遮断するためのコンピュータ読み取り可能なコードと、を備える誘電体層エッチング用のコンピュータ読み取り可能なコードを備える。コンピュータ読み取り可能な媒体は、また、シリコン基板にエッチングを行なうコンピュータ読み取り可能なコードであって、シリコン基板エッチングガス源からプラズマ処理チャンバにシリコン基板エッチングガスを流入させるためのコンピュータ読み取り可能なコードと、シリコン基板エッチングガスをプラズマに変換させるためのコンピュータ読み取り可能なコードと、シリコン基板エッチングガス源からプラズマ処理チャンバへのシリコン基板エッチングガスの流入を遮断するためのコンピュータ読み取り可能なコードと、を備えるシリコン基板エッチング用のコンピュータ読み取り可能なコードを備える。]
[0009] 本発明のまた別の態様は、定常状態のガス流を用いて、シリコン層にフィーチャーをエッチングする方法を提供する。まず、酸素含有ガスとフッ素含有ガスとを含むエッチングガスを供給する。エッチングガスからプラズマを発生させる。バイアス電圧を印加し、プラズマを用いてシリコン層にフィーチャーをエッチングする。その後、エッチングガス流を遮断する。バイアス電圧は、5ボルト以上でもよい。酸素含有ガスは、SO2、CO2、CO、NO2、又はN2Oの少なくともいずれか一種類を含むものでもよく、エッチングガスが、さらに、O2を含むものでもよい。フッ素含有ガスは、SF6又はNF3を含むものでもよい。]
[0010] 本発明のまた別の態様は、シリコン層にフィーチャーをエッチングする装置を提供する。装置は、プラズマ処理チャンバを備え、プラズマ処理チャンバは、真空チャンバと、真空チャンバの側面を形成する誘電体ウィンドウと、誘電体ウィンドウに隣接し、プラズマを持続させるための出力を供給する少なくとも一つのアンテナと、少なくとも一つのアンテナに電気的に接続される少なくとも一つのプラズマ電源と、プラズマ処理チャンバ内部でシリコン基板を支持する基板支持部と、プラズマ処理チャンバ内の圧力を調整する圧力調整器と、プラズマ処理チャンバ内にガスを導入するガス導入口と、プラズマ処理チャンバからガスを排出するガス排出口と、を備える。装置は、さらに、ガス導入口と流体接続されるガス源を備える。ガス源は、酸素含有ガス源と、フッ素含有ガス源と、任意の添加ガス源と、を備える。制御装置が、ガス源及び少なくとも一つのアンテナに制御可能に接続される。制御装置は、少なくとも一つのプロセッサと、コンピュータ読み取り可能な媒体と、を備える。コンピュータ読み取り可能な媒体が、ガス源からプラズマ処理チャンバに、酸素含有ガスとフッ素含有ガスとを含むエッチングガスを流入させるためのコンピュータ読み取り可能なコードと、エッチングガスからプラズマを発生させるためのコンピュータ読み取り可能なコードと、バイアス電圧を印加するためのコンピュータ読み取り可能なコードと、プラズマを用いて、シリコン層にフィーチャーをエッチングするためのコンピュータ読み取り可能なコードと、ガス源からプラズマ処理チャンバへのエッチングガスの流入を遮断するためのコンピュータ読み取り可能なコードと、を備える。酸素含有ガス源がSO2ガス源を備え、フッ素含有ガス源がSF6ガス源を備え、任意の添加ガス源がSiF4ガス源を備えるものでもよい。]
[0011] 本発明の上述した特徴及び他の特徴を、添付の図面を参照して、以下、本発明の詳細な説明において、詳述する。]
図面の簡単な説明

[0012] 同様の参照番号が同様の要素を表わす添付の図面に基づき、何らこれらに限定されるものではないが、本発明を例示する。]
[0013] 本発明の一実施例に従う概念レベルの工程図。]
[0014] 本発明の一実施例に従い処理される積層構造を示す概略図。
本発明の一実施例に従い処理される積層構造を示す概略図。
本発明の一実施例に従い処理される積層構造を示す概略図。]
[0015] 本発明の実施例を実行するために利用可能なプラズマ処理システムを示す概略図。]
[0016] 本発明の実施例で用いられる制御装置を実現するために適したコンピュータシステムを示す説明図。
本発明の実施例で用いられる制御装置を実現するために適したコンピュータシステムを示す説明図。]
[0017] 本発明の一実施例の利点を説明するための積層3次元集積回路デバイスの例を示す概略図。]
[0018] 冷却システムを備えるウィンドウの上面を示す斜視図。]
[0019] 図6に示すウィンドウの断面図。] 図6
[0020] 本発明の一実施例に従って、定常状態のガス流を用いてシリコン層にフィーチャーをエッチングする方法を示す工程図。]
[0021] 本発明の一実施例に従うシリコンディープエッチングを示す概略断面図。]
[0022] 本発明の実施例を実行するために利用可能なプラズマ処理システムの一例を示す概略図。]
実施例

[0023] 添付の図面に図示されるいくつかの好適な実施例を参照して、本発明を詳細に説明する。以下、本発明の十分な理解を目的として、多くの具体的な詳細を説明するが、当業者には自明のように、本発明はこれらの具体的な詳細の一部又は全部を省略した形態で実施することも可能である。また、不要に本発明の要旨を曖昧にすることのないように、周知の処理工程及び/又は構造は詳述しない。]
[0024] プラズマ反応器においてエッチングされる材料は、導電層及び誘電体層を備える。導電層は、たとえば、金属含有層又はシリコン含有層を含むものでもよい。誘電体層は、たとえば、非導電性有機材料又は非導電性無機材料を含むものでもよい。通常、専用エッチングチャンバで所定の材料がエッチングされる。すなわち、誘電体層は、通常、専用誘電体エッチングチャンバでエッチングされ、シリコン含有層は、通常、導電体エッチングチャンバでエッチングされる。また、金属含有層は、通常、金属エッチングチャンバでエッチングされる。]
[0025] たとえば、CMOSデバイス製造等の場合、シリコンウエハーの表面上に複数の導電層及び誘電体層が存在するものとしてもよい。ウエハー上に存在する複数の導電層及び誘電体層の一部または全部を貫通するようなエッチングが望ましい場合もある。たとえば、このように導電層及び誘電体層を貫通するエッチングを行なうことにより、次の処理ステップで、シリコン基板にフィーチャー(特徴部)を形成することが可能になる。個々の導電層又は誘電体層のエッチングに別々の専用エッチングチャンバを用いると、複数のエッチングチャンバを用いる複数の処理ステップでウエハーを処理することになり、ひいては、処理コストの増大や処理の複雑化につながる。このため、一つのチャンバ内で、シリコンウエハー上に存在するすべての誘電体層及び導電層のエッチング処理を行なうことが望ましく、さらに、前処理や後処理等の追加の処理やシリコン基板にフィーチャーをエッチングする処理に同じチャンバを用いることが望ましい。]
[0026] 理解を容易にするために、図1に、本発明の一実施例における処理の概念レベルの工程を示す。シリコン基板上に配置される少なくとも一つの導電層及び少なくとも一つの誘電体層を備え、パターニングされたフィーチャー(特徴部)を有するウエハーを処理チャンバ内に入れる(ステップ100)。必要に応じて、プレエッチング処理ステップ(ステップ110)を行い、次の処理ステップに備えた表面処理を実行するようにしてもよい。次に、導電層及び誘電体層のエッチング処理を行なう(ステップ120及びステップ130)。ウエハー上に複数の導電層及び/又は誘電体層が存在する場合には、必要に応じた回数、ステップ120及びステップ130を繰り返し、すべての層を除去して、シリコン基板を露出させる。次に、露出させたシリコン基板にフィーチャーをエッチングし(ステップ140)必要に応じて、ポストエッチング処理ステップ(ステップ150)を行なった後、処理チャンバからウエハーを取り出す(ステップ160)。この実施例では、すべての処理ステップ(すなわち、ステップ100からステップ160)を一つの処理チャンバ内で実行する。] 図1
[0027] (ステップ100で)ウエハーを処理チャンバに入れる際にウエハー表面上に残留物又は表面損傷が存在する場合には、ウエハーのプレエッチング処理ステップであるステップ110を、最初のエッチングステップ120の前に実行するようにしてもよい。ウエハー表面上に残留物が存在すると、全体のエッチング性能に大きな悪影響をもたらす可能性があるため、非常に望ましくない。たとえば、ウエハー表面に残留物質が存在すると、残留物質のエッチング速度がその下層のエッチング速度よりも遅いため、下層のエッチングが不均一になったり、「柱状」や「草状」等の瑕疵が点在する原因になったりする。いったんこのような瑕疵が形成されると、これが広がり、次に続く各エッチングのステップを阻害する可能性がある。たとえば、最初の導電層エッチングステップ(たとえば、ステップ120)で点在する瑕疵が形成された場合、次の誘電体層エッチングステップ(たとえば、ステップ130)の性能を低下させて、次の半導体基板エッチングステップ(たとえば、ステップ140)にその影響が広がる可能性がある。]
[0028] 残留物の原因としては、プラズマ処理、湿式化学薬品浴処理、及び/又は電気回路のテストやプロ—ビング等、前の処理ステップが挙げられる。さらに、清浄ではない周辺環境に晒したり、不適切なウエハーの取り扱いや移送も、ウエハー表面を残留物で汚染する原因となりえる。]
[0029] 残留物は、無機系のもの、有機系のもの、又は、その両方を含むものがある。たとえば、シリコンエッチングステップ由来の無機系残留物にはケイ素と酸素とが含有され、銅処理ステップ由来の無機系残留物には銅と酸素とが含有される。有機系残留物の例としては、リソグラフィーステップ由来のフォトレジスト残留物、及び/又は、誘電体層エッチングステップ由来の炭素、水素、及びフッ素等を含有する析出物質が挙げられる。残留物が、粘着性被膜を形成する場合も、ノジュール等のバラバラの小塊を形成する場合も、又は、その両方を形成する場合もある。]
[0030] プラズマを用いた適当な前処理ステップ(ステップ110)を実行して、有機系残留物及び無機系残留物を除去するようにしてもよい。有機系残留物を除去するためのプラズマ前処理(ステップ110)条件は、たとえば、50ないし200mTorrの範囲の圧力で、100ないし600sccmの範囲のO2流量、20ないし200sccmの範囲のN2流量、20ないし200sccmの範囲のAr流量、1000ないし2500ワットの範囲のTCP出力、及び20ないし200ボルトの範囲のバイアス電圧である。本明細書に記載した実施例では、すべて13.56MHzの周波数のTCP出力を用いたが、他の周波数を用いるようにしてもよい。]
[0031] 無機系残留物を除去するためのプラズマ前処理(ステップ110)条件は、たとえば、50ないし200mTorrの範囲の圧力で、100ないし600sccmの範囲のO2流量、10ないし100sccmの範囲のCF4及び/又はCHF3及び/又はCH3F流量、0ないし200sccmの範囲のAr流量、1000ないし2500ワットの範囲のTCP出力、及び20ないし200ボルトの範囲のバイアス電圧である。]
[0032] さらに、ウエハー前処理ステップ(ステップ110)を行なって、エッチング対象の第1層表面に存在する瑕疵を除去するようにしてもよい。たとえば、CMOSデバイスをテストする際には、銅及び/又はアルミニウムテストパッドにプローブを物理的に接触させたり、銅及び/又はアルミニウムテストパッドに電流を流す必要がある。このような接触により、パッド表面に、擦り傷等の損傷を与えたり、加工硬化領域が形成されたりする。残留物と同様に、擦り傷や加工硬化領域等の表面の瑕疵も、下層のエッチングが不均一になったり、「柱状」や「草状」等の瑕疵が下層に点在する原因になったりする。これらの瑕疵は、次に続く、下層導電層のエッチング(たとえば、ステップ120)や下層誘電体層のエッチング(たとえば、ステップ130)やシリコン基板のエッチング(ステップ140)を妨害する可能性がある。]
[0033] プラズマを用いた適当な前処理ステップ(ステップ110)を実行して、エッチング対象の第1層表面に存在する瑕疵を除去するようにしてもよい。エッチング対象層の表面瑕疵を除去するための前処理条件は、たとえば、8ないし40mTorrの範囲の圧力で、50ないし300sccmの範囲のCl2流量、100ないし400sccmの範囲のBCl3流量、0ないし100sccmの範囲のN2流量、800ないし2500ワットの範囲のTCP出力、及び200ないし700ボルトの範囲のバイアス電圧である。]
[0034] 表面残留物の場合と同様に、表面瑕疵を除去するためのプレエッチング処理も、一般的には、別々の専用処理チャンバで実行される。エッチング処理(たとえば、ステップ120、130、及び140)と同じ処理チャンバで瑕疵除去のための前処理ステップ(ステップ110)を実行すれば、別々の専用処理チャンバでウエハーを処理する場合と比べて、処理工程を簡素化し、コストを削減することができるという利点がある。]
[0035] ただし、残留物及び/又は表面瑕疵が存在しない場合や、別の何らかの理由で前処理をしない方が好ましい場合には、ウエハーの前処理ステップ(ステップ110)を省略して、プラズマエッチングチャンバにウエハーを入れた(ステップ100)後すぐに、導電層及び誘電体層のエッチングを行なう(ステップ120及び130)ようにしてもよい。]
[0036] 導電層のエッチング(ステップ120)及び誘電体層のエッチング(ステップ130)は、一般的には、異なった処理チャンバで実行される。たとえば、誘電体エッチングチャンバで誘電体層のエッチングを行い、金属エッチングチャンバで金属含有導電層のエッチングを行い、シリコンエッチングチャンバでシリコン含有導電層のエッチングを行なう。複数の導電層及び/又は誘電体層がウエハー上に存在する構成も多くみられる。この場合、すべての層のエッチングを行なうために、多くの異なるチャンバ間でウエハーを移送する必要がある。また、専用チャンバが異なった物理的な位置に置かれている場合もある。したがって、別々の専用エッチングチャンバで個別の層のエッチングを行なうことは、処理コストを著しく増大させ、処理を複雑化する原因となる。逆に、単一のプラズマ処理チャンバで各種類の層のエッチングを行なうことは、処理コストの削減につながり、処理を簡素化するという利点がある。]
[0037] 図1において、導電層エッチングステップ120は、ウエハー上に存在する導電層をすべてエッチングするために必要なすべてのエッチングステップを意味する総称的ステップである。同様に、誘電体層エッチングステップ130は、ウエハー上に存在する誘電体層をすべてエッチングするために必要なすべてのエッチングステップを意味する総称的ステップである。ステップ120とステップ130との間の矢印で示すように、たとえば、複数の導電層と複数の誘電体層が存在する場合、導電層エッチングステップと誘電体層エッチングステップとを交互に行なうことが望ましい場合もある。すなわち、ウエハーが、シリコン基板上に、最小限である一つの導電層と最小限である一つの誘電体層とのみを備える構成もありえる。この場合には、導電層エッチングステップ120と誘電体層エッチングステップ130とは、それぞれ、たった一度しか実行されない。また別の例で、複数の導電層と複数の誘電体層とが存在する場合でも、シリコン基板上で、二種類の層が交互に配置される構成もありえる。この場合には、複数の導電層エッチングステップ120の間に複数の誘電体層エッチングステップ130を挟み込むような形で実行される。] 図1
[0038] 理解を助ける目的で、図2Aに、シリコン基板上に複数の導電層と複数の誘電体層とを交互に配置したパターン形成シリコンウエハーの一例を示す。ウエハー200は、表面層204上にパターン形成マスク層202を備え、フィーチャー(特徴部)218の輪郭を規定する。マスク層202は、フォトレジスト等の有機系のものでも、SiO2やSi3N4等の無機系ハードマスクでもよい。表面層204は、たとえば、タングステン、銅、及び/又はアルミニウム等の金属含有導電層である。導電層204の下に配置される層206は、たとえば、二酸化ケイ素から成る誘電体層である。誘電体層206の下に配置される層208は、別の導電層であり、導電層204とは異なる物質及び/又は異なる組成の導電層でもよい。導電層208は、たとえば、ケイ化物等のシリコン含有層でもよい。導電層208の下で、かつ、シリコン基板212の上に配置される層210は、たとえば、low−k(低誘電率)誘電体物質から成る別の誘電体層でもよい。] 図2A
[0039] 本発明の一実施例において、積層構造全体(すなわち、層204ないし層210)が、同じプラズマ処理チャンバ内で、一連の層特異的なエッチング処理を粉うことにより、エッチングされる。上述した例を用いて説明を続けると、最初に、金属エッチング処理を行なって(図1のステップ120)、金属含有導電表面層204をエッチングする。金属エッチング処理を、たとえば、8ないし20mTorrの範囲の圧力、1000ないし2500ワットの範囲のTCP出力、250ないし450ボルトの範囲のバイアス電圧、Cl2/BCl3の混合ガス、−10℃から+10℃の範囲の静電チャック(ESC)温度、の条件で行なうようにしてもよい。] 図1
[0040] 次に、二酸化ケイ素誘電体エッチング処理を行なって(図1のステップ130)、誘電体層206をエッチングする。二酸化ケイ素誘電体エッチング処理を、たとえば、20ないし40mTorrの範囲の圧力、2000ないし2500ワットの範囲のTCP出力、1000ないし1500ボルトの範囲のバイアス電圧、−10℃から+10℃の範囲のESC温度、流量100ないし300sccmの範囲のCF4と流量200ないし400sccmの範囲のCHF3と流量50ないし200sccmの範囲のArと流量0ないし100sccmの範囲のO2とを含む混合ガス、の条件で行なうようにしてもよい。] 図1
[0041] さらに、導電層エッチング処理を行なって(図1のステップ120)、シリコン含有導電層208をエッチングする。この導電層エッチング処理は、層204に対する導電層エッチング処理と異なるものでもよい。たとえば、5ないし10mTorrの範囲の圧力、400ないし600ワットの範囲のTCP出力、150ないし250ボルトの範囲のバイアス電圧、Cl2/NF3/O2/N2又はCl2/CF4/HBrの混合ガス、−10℃から+10℃の範囲のESC温度、の条件でシリコンエッチング処理を行ない、層208をエッチングするようにしてもよい。] 図1
[0042] さらに、誘電体層エッチング処理を行なって(図1のステップ130)、low−k(低誘電率)誘電体層210をエッチングする。この誘電体層エッチング処理は、層206に対する誘電体層エッチング処理と異なるものでもよい。たとえば、3ないし50mTorrの範囲の圧力、150ないし700ワットの範囲のTCP出力、30ないし200ボルトの範囲のバイアス電圧、−10℃から+60℃の範囲のESC温度、流量50ないし200sccmの範囲のCF4と流量0ないし20sccmの範囲のCH2F2と流量0ないし15sccmの範囲のO2とを含む混合ガス、の条件でlow−k誘電体層エッチング処理を行ない、層210をエッチングするようにしてもよい。] 図1
[0043] 図2Bに、上述した一連の導電層エッチングステップと誘電体層エッチングステップを実行後のウエハー200の積層構造を示す。単一のプラズマ処理チャンバ内で、導電層204及び208並びに誘電体層206及び210を貫通するようにフィーチャー218のエッチングを行なって、シリコン基板212を露出させる。この方法により、専用のエッチングチャンバを用いる方法で問題となる処理コストの増大や処理の複雑化を避けることができる。当業者には自明のことであるが、実行すべき導電層エッチングステップ(図1のステップ120)及び誘電体層エッチングステップ(図1のステップ130)の数、順序、及び種類は、ウエハー上に存在する導電層及び誘電体層の数、種類、組み合わせ等により変更される。] 図1 図2B
[0044] シリコン基板を露出させて、接点、ビア、及び/又はトレンチ(溝)等のフィーチャー(特徴物)を形成する(図1のステップ140)。理解を助ける目的で、図2Cに、複数の導電層及び誘電体層をエッチングした後にシリコン基板にエッチング形成されたフィーチャー(特徴物)の断面の一例を示す。マスク層202が、ウエハー200上に形成されるフィーチャー(特徴物)218の輪郭を規定する。層204、206、208、及び210は、(上述したように)先のステップでエッチングされた導電層及び誘電体層を示す。当業者には自明のことであるが、層204、206、208、及び210は、シリコン基板へのフィーチャー形成に必須ではない。シリコン基板212にエッチング形成されるフィーチャー218の一例であるフィーチャー222は、垂直な(約90度)プロファイル(輪郭)角度を有する。シリコン基板212にエッチング形成されるフィーチャー218の別の例であるフィーチャー220は、テーパさせたプロファイル(90度未満のプロファイル角度)を有する。このフィーチャーを形成するための処理として、析出及びエッチング処理ステップを選択的に繰り返すボッシュ様処理を行なうようにしてもよい。析出処理を、たとえば、40ないし100mTorrの範囲の圧力、1400ないし2500ワットの範囲のTCP出力、100ないし150ボルトの範囲のバイアス電圧、C4F8ガス、−10℃から+10℃の範囲のESC温度、の条件で行なうようにしてもよい。また、エッチング処理を、たとえば、40ないし100mTorrの範囲の圧力、1400ないし2500ワットの範囲のTCP出力、100ないし150ボルトの範囲のバイアス電圧、SF6/Ar混合ガス、−10℃から+10℃の範囲のESC温度、の条件で行なうようにしてもよい。析出処理ステップとエッチング処理ステップとの間に過渡ステップを設けるようにしてもよい。] 図1 図2C
[0045] さらに、ボッシュ様処理のような不動態化(表面安定化)処理ステップで、少量のSO2等の硫黄含有ガス又はSiF4等の他の種類のガスをC4F8ガスに加えて、側壁上に形成される炭素系不動態化層を変更するようにしてもよい。このようなガスの添加により、C−C結合とは異なる特性のC−S結合またはC−Si結合が形成され、不動態化処理の時間短縮につながる、及び/又は、析出及びエッチング処理の繰り返しを利用した側壁のプロファイル(輪郭)制御が可能になる。]
[0046] フィーチャー218がシリコン基板212内のかなりの深さまでエッチングされることも多い。たとえば、完成したCMOSデバイスの各層の厚さの合計が3ミクロンから5ミクロンに過ぎないのに対して、フィーチャー220及び222は、5ミクロンから400ミクロンの範囲の深さ224にエッチング形成可能である。このような深いフィーチャーは、通常、プラズマエッチングと析出のサイクルを高速で交互に繰り返す「高速交互」プラズマエッチング処理によりシリコン基板に形成される。すなわち、プラズマ処理反応器に供給される処理ガスを高速で交互に切り替えることにより、処理条件が、シリコンをウエハーから除去する「エッチング」条件から、シリコンを除去することなくウエハー上に材料を析出させる「析出」条件に高速で移り、さらに、エッチング条件に戻る。交互サイクルの持続時間は、通常、比較的短く、所望の深さ224までシリコン基板をエッチングするためには、多数のサイクルが必要となる。ただし、高速交互処理には、エッチング効率が比較的低い等の欠点がある。たとえば、高速交互処理は、高速スイッチング可能な高精度ガス流量調整器等の特殊なハードウェアが必要となるため、システムコストの増大につながり、システムを複雑化する結果となる。]
[0047] SF6、HBr、O2、及びSiF4を含む供給ガスの組み合わせを用いる非交互で連続的なエッチング処理を行うことにより、エッチング効率を増大させて、所望の柔軟な処理を実現することが可能になる。処理を実行する間に、たとえ供給ガス流量の設定点が変動しても(たとえば、高い値から低い値に減少しても、その逆に増加しても)、供給ガスの流れは切り替わることなく、シリコン基板212内にフィーチャー218をエッチング形成する間、連続してガスが供給されるため、連続的な処理となる。また、処理条件が「エッチング」条件から「析出」条件へと切り替わることなく、エッチング処理の間、シリコンのエッチングとエッチングの抑制とが同時に起こるため、非交互な処理となる。このような連続処理では、処理時間中にわたってずっとシリコンの除去が行われるため、高速交互処理に比べて、エッチング効率がはるかに高い。さらに、ガスの流れが連続するため、ガス流量調整器のような標準的なハードウェアが利用可能となり、処理を実行するためにシステムを複雑化させることなく、システムコストを抑制することができる。]
[0048] SF6、HBr、O2、及びSiF4を含む供給ガスの組み合わせを用いる非交互で連続的なエッチング処理を、たとえば、2500ワットのTCP出力、250Vのバイアス電圧、及び80mTorrの圧力で、流量235sccmのSF6と流量30sccmのHBrと流量200sccmのO2と流量190sccmのSiF4とを含む混合ガス条件で行なうようにしてもよい。O2とSiF4によるアンダーカットの抑制効果、SiF4による湾曲の減少効果、HBrによるエッチング速度の上昇効果を測定データから読み取ることができる。]
[0049] 供給ガスの比率を適切に調節することにより、柔軟な処理が可能になる。たとえば、供給ガスの総流量に対するSF6の流量比を変えることにより、シリコン基板212のエッチング速度を改善することができる。また、供給ガスの総流量に対するHBrの流量比を変えることにより、シリコンフィーチャーの表面粗さを改善することができる。さらに、供給ガスの総流量に対するSiF4の流量比を変えることにより、垂直(222)やテーパ(220)等、所望のプロファイル(輪郭)角度にすることができる。また、供給ガスの比を最適化することにより、1ミクロンから200ミクロンの範囲の大きさのフィーチャー(218)や有機系または無機系のマスク(202)等、種々のウエハー条件に対して、所望の処理性能を実現することが可能である。SiF4の流量は、好ましくは0ないし300sccmの範囲、より好ましくは100ないし200sccmの範囲であり、最も好ましい値は150sccmである。HBrの流量は、好ましくは0ないし50sccmの範囲であり、より好ましくは20ないし40sccmの範囲であり、最も好ましい値は30sccmである。O2の流量は、好ましくは100ないし225sccmの範囲であり、より好ましくは150ないし225sccmの範囲であり、最も好ましくは190ないし205sccmの範囲である。SF6の流量の好ましい範囲は200ないし300sccmである。]
[0050] さらに、連続的なエッチング処理中にプラズマ出力、ウエハーのバイアス出力、処理チャンバの圧力等の処理パラメータを変更することにより、連続的なエッチング処理の処理性能や柔軟性を増大させることができる。たとえば、ウエハーに到達する荷電反応性プラズマ成分に対する中性成分の比のバランスを取るように、プラズマ電源及び/又はウエハーバイアス電圧のオンオフや高低を調節するようにしてもよい。あるいは、連続的なエッチング処理中に、プラズマ処理チャンバ内におけるプラズマ出力、ウエハーバイアス出力、及び/又は圧力を高い値から低い値に減少させたり、逆に増加させたりするようにしてもよい。]
[0051] フィーチャー218をシリコン基板212にエッチングした後(図1のステップ140)、ウエハー200に後処理を任意で施すようにしてもよい(図1のステップ150)。一例として、フィーチャー218の輪郭規定に用いるマスク202がフォトレジスト等有機系のものであれば、酸素含有プラズマを用いるマスク除去のための後処理を実行するようにしてもよい。このようなマスク除去処理を、たとえば、ウエハー温度が150ないし300℃の範囲の場合に、2500ないし10,000sccmの範囲のO2流量、250ないし3000sccmの範囲のN2流量、0ないし1000sccmの範囲のH2O流量、マイクロ波または誘導RFにより生じる下流電荷、2ないし8kWの範囲の印加出力、600ないし2000mTorrの範囲の圧力、の条件で、下流プラズマ反応器内で実行するようにしてもよい。] 図1
[0052] また別の例として、金属含有層等の腐食しやすい層が存在する場合には(たとえば、図2Aないし図2Cの層204,206,208、又は210)、水蒸気を用いる腐食不動態化後処理を実行するようにしてもよい。腐食不動態化処理を、たとえば、ウエハー温度が150ないし300℃の範囲の場合に、500ないし3000sccmの範囲のH2O流量、0ないし3000sccmの範囲のHe流量、マイクロ波または誘導RFにより生じる下流電荷、2ないし8kWの範囲の印加出力、600ないし3000mTorrの範囲の圧力、の条件で、下流プラズマ反応器内で実行するようにしてもよい。] 図2A 図2C
[0053] ただし、フォトレジスト及び/又は腐食しやすい層が存在しない場合や、その他何らかの理由で実行しない方が望ましい場合には、ウエハーの後処理ステップ(図1のステップ150)を省略し、シリコン基板内にフィーチャーを形成(図1のステップ140)後、ウエハーを処理チャンバから取り出す(図1のステップ160)ようにしてもよい。] 図1
[0054] 本発明の実施例において、図1に示す工程を、図3に示すようなプラズマ処理チャンバ内で実行するようにしてもよい。図3は、プラズマ処理チャンバ301を内蔵するプラズマ処理器302を備えるプラズマ処理システム300の概略図である。マッチングネットワーク324により調節されるプラズマ電源322は、ウィンドウ304の近傍に配置されるアンテナ306に出力を供給し、プラズマ処理チャンバ301内でプラズマ308を発生させる。アンテナ306は、処理チャンバ301内で均一な拡散プロファイルを形成可能な構成でもよい。たとえば、アンテナ306を、プラズマ308におけるトロイダルな出力分配が可能な構成としてもよい。ウィンドウ304は、アンテナ306からプラズマ処理チャンバ301へのエネルギーの通過を可能にする一方で、プラズマ処理チャンバ301からアンテナ306を隔てる役割を果たす。マッチングネットワーク328により調節されるウエハーバイアス電圧電源326は、電極310に出力を供給し、前処理から後処理に至るまでウエハーを支持する基板支持部として働く電極310により支持されるウエハー312上に、バイアス電圧を印加する。プラズマ電源322及びウエハーバイアス電圧電源326の設定点を制御部336で設定する。] 図1 図3
[0055] プラズマ電源322及びウエハーバイアス電圧電源326は、13.56MHz、27MHz、2MHz、400kHz、又はこれらの組み合わせ等の特定無線周波数で動作するものでもよい。プラズマ電源322及びウエハーバイアス電圧電源326は、所望の処理性能を実現するために、さまざまなレベルの出力を供給するのに適した大きさであればよい。たとえば、プラズマ電源322が5000ワット以上の出力を供給し、ウエハーバイアス電圧電源326が1250ワット以上の出力を供給する。また、アンテナ306及び/又は電極310を、2個以上のアンテナ部や2個以上の電極部から構成し、各アンテナ部や各電極部に対して、単一の電源から出力を供給するようにしてもよいし、複数の電源から出力を供給するようにしてもよい。]
[0056] 導電層エッチングガス源330、誘電体層エッチングガス源332、及びシリコン基板エッチングガス源334を含むガス供給機構すなわちガス源は、プラズマ処理チャンバ301の内部に、各処理に必要な適当な化学物質を供給する。導電層エッチングガス源330は、たとえば、Cl2、BCl3、H2O、HBr、SF6、NF3、CHF3、Ar、He、O2等の典型的な金属含有導電層エッチングガスを含む。誘電体層エッチングガス源332は、たとえば、CH2F2、CH3F、CHF3、C4F8、C4F6、H2、O2、N2、Ar、CO2、CO等の典型的な誘電体層エッチングガスを含む。シリコン基板エッチングガス源334は、Cl2、SiF4、NF3、C2H4、He、Ar、H2、C2H4、CO2、CO等の典型的なシリコン含有層エッチングガスに加えて、たとえば、SF6、HBr、O2、SiF4及びSiCl4を含む。これらのガスの可能な組み合わせとしては、SF6単体、SF6とO2、SF6とO2とSiF4、SF6とO2とSiCl4、SF6とO2とHBr、SF6とO2とSiF4とHBr、SF6とO2とSiCl4、SF6とO2とSiCl4とHBrが挙げられる。]
[0057] また、側壁を保護する酸化ガスである、シリコン基板エッチングガスに含まれるO2を、SO2、CO2、CO、NO2、N2O、又はこれらの組み合わせに、部分的に又は全面的に置き換えるようにしてもよい。SO2、CO2、CO、NO2、N2O、又はこれらの組み合わせを、O2に添加するようにしてもよい。酸化ガス成分を変えることにより、定常状態エッチングの際の、側壁不動態化特性を変更することができる。不動態化層の組成を、(O2のみを用いた場合の)SiO2層から、N2O、NO2、NH3及び/又はN2を加えた場合にはSiN又はSiONに、又は、CO2及び/又はCOを用いた場合にはSiC又はSiOCに、変更できる。さらに、BCO3、B2H6、BCl3等、ホウ素含有化合物等の他の化合物を酸素または酸素含有ガスに加えることにより、シリコンエッチング工程における側壁の不動態化を変更可能である。これにより、SiOBN又はSiBNを主成分とする側壁の不動態化層が得られる。不動態化層の構造を変更することにより、不動態化層の特性および性能に対して影響を与え、ひいては、エッチング特性およびフィーチャー(特徴物)のプロファイル(輪郭)に影響を与えることができる。]
[0058] 析出処理を、たとえば、40ないし100mTorrの範囲の圧力、1400ないし2500ワットの範囲のTCP出力、100ないし150ボルトの範囲のバイアス電圧、C4F8ガス、−10℃から+10℃の範囲のESC温度、の条件で行なうようにしてもよい。また、エッチング処理を、たとえば、40ないし100mTorrの範囲の圧力、1400ないし2500ワットの範囲のTCP出力、100ないし150ボルトの範囲のバイアス電圧、SF6/Ar混合ガス、−10℃から+10℃の範囲のESC温度、の条件で行なうようにしてもよい。析出処理ステップとエッチング処理ステップとの間に過渡ステップを設けるようにしてもよい。]
[0059] ガス源330、332、及び334は、流入口314を介して、処理チャンバ301に流体接続される。ガス流入口314は、チャンバ301内の適当な位置に配置されればよく、ノズルやシャワーヘッド等、ガスの注入に適した任意の形状でよい。ガス流入口314の最も望ましい構成は、「調節可能な」ガス注入プロファイル(外形)を形成し、処理チャンバ内の複数の領域へのガス流を独立して調節可能な構成である。プラズマ処理チャンバ301内で所定圧力を維持する役割も果たす圧力調整弁318及びポンプ320を介して、処理ガス及び副生成物をチャンバ301から排出させる。導電層エッチングガス源330、誘電体層エッチングガス源332、及びシリコン基板エッチングガス源334の設定点は、制御部336により設定される。]
[0060] 通常動作時において、特に、高出力プラズマ設定点における動作時において、ウィンドウ304に沿って生じる温度勾配により、ウィンドウ内の応力が増大する。この際、応力が、ウィンドウの機械的不具合を引き起こすのに十分な大きさまで増大することもありえる。たとえば、ウィンドウの中心部の温度が、ウィンドウの端部の温度よりも高くなると、ウィンドウの端部に高いフープ応力がかかる。さらに、エッチングチャンバの一部が劣化して、システム性能を低下させる温度レベルまで、ウィンドウの全体温度が上昇する場合もある。高温になると、たとえば、Oリングの真空シーリングが劣化し、粒子生成や真空度の低下等の問題が生じる。そのため、ウィンドウ冷却システム340をプラズマ処理システム300上に設置し、ウィンドウに沿った温度勾配を抑制し、ウィンドウ304の全体の動作温度を低下させる。ポンプ342を用いて、ウィンドウ304の温度よりも低い温度の冷却ガス源350から冷却ガス352を流入させるようにしてもよい。たとえば、冷却ガス源350が周辺大気であり、ポンプ342がファンであってもよい。あるいは、冷却ガス源350がクリーンドライエア(CDA)、窒素等の加圧ガス源であり、ポンプ342を用いて冷却ガス源350を加圧するようにしてもよい。冷却ガス352は、流入管344を通って、エンクロージャー(筺体)346に流入する。冷却ガス352は、エンクロージャー346においてウィンドウ304から熱を放出させた後、流出管348を介してウィンドウ冷却システム340外に流出する。ウィンドウ冷却システム340を通る冷却ガス352の流量を変化させることにより、ウィンドウ304の全体温度を低下させることができる。ウィンドウに沿った温度勾配を最小にするために、必要に応じて、ウィンドウ304の大部分が露出するように、又は、ウィンドウ304がほとんど露出しないように、流入管及び流出管、並びに、エンクロージャーを設計するようにしてもよい。図6に、冷却システム340を備えるウィンドウ304上面の斜視図を示す。図7に、図6のウィンドウ304の断面図を示す。空気は、外部の周辺大気から、管を通って、ウィンドウの中で最も温度の高いウィンドウ中央の円形エンクロージャーに流入される。流入した空気は、円形エンクロージャー内でウィンドウ中央に向かって流れ、エンクロージャーに形成された孔を通って、ウィンドウのエンクロージャーから流出する。このような構成により、最も高温だった部分の温度が下がり、温度勾配及び応力が小さくなると共に、ウィンドウ全体の温度も低下する。] 図6 図7
[0061] 図4A及び図4Bは、本発明の一つまたは複数の実施例で利用可能な制御部(たとえば、図3の336)の実現に適したコンピュータシステムを示す。図4Aに、コンピュータシステム400の物理的構成の一例を示す。コンピュータシステムの物理的構成は、図示される例に限られるものではなく、集積回路、プリント基板、小型携帯デバイスから巨大なスパコンに至るまで、さまざまな構成が可能である。コンピュータシステム400は、モニター402と、ディスプレイ404と、ハウジング406と、ディスクドライブ408と、キーボード410と、マウス412と、を備える。ディスク414は、コンピュータ読み取り可能な媒体であり、コンピュータシステム400とのデータのやり取りに用いられる。] 図3 図4A 図4B
[0062] 図4Bに、コンピュータシステム400のブロック図の一例を示す。さまざまなサブシステムがシステムバス420に連結される。プロセッサ422(中央処理装置すなわちCPUとも称する)は、メモリ424等の記憶装置に接続される。メモリ424には、ランダムアクセスメモリ(RAM)及びリードオンリーメモリ(ROM)が含まれる。当該技術分野で周知のように、ROMは、CPUに対して一方向にデータ及び指示を送るのに用いられ、RAMは、通常、データ及び指示を双方向に送るのに用いられる。これらのメモリは、いずれも、後述するコンピュータ読み取り可能な媒体における任意の適当な形態としてもよい。さらに、固定ディスク426を、CPU422に対して双方向に連結して、データ記憶容量を拡張する。固定ディスク426も、後述するコンピュータ読み取り可能な媒体における任意の適当な形態としてもよい。固定ディスク426は、プログラムやデータ等の格納に利用可能であり、通常は、主記憶装置よりも速度の遅い二次記憶媒体(たとえば、ハードディスク)である。必要に応じて、固定ディスク426内に保持される情報を、メモリ424内の仮想記憶として標準的な方法で内蔵するようにしてもよい。リムーバブル・ディスク414を、後述するコンピュータ読み取り可能な媒体における任意の適当な形態としてもよい。] 図4B
[0063] CPU422は、ディスプレイ404、キーボード410、マウス412、及びスピーカー430等の各種入出力装置に接続される。一般的な入出力装置の例としては、ビデオディスプレイ、トラックボール、マウス、キーボード、マイク、タッチセンサー式ディスプレイ、トランスデューサー・カード読み取り装置、磁気又は紙テープ読み取り装置、タブレット、スタイラス、音声又は手書き認識装置、バイオメトリクス読み取り装置、又は、他のコンピュータが挙げられる。CPU422を、他のコンピュータに接続したり、ネットワークインターフェース440を用いて通信ネットワークに接続するようにしてもよい。ネットワークインターフェースを用いる場合、前述した処理方法の各ステップを行う際に、CPUが、ネットワークから情報を入力したり、逆に、ネットワークに情報を出力したりすることができる。また、本発明の実施例で説明した処理方法を、CPU422のみで実行するようにしてもよいし、インターネット等のネットワークを通じて、処理の一部をリモート(遠隔)CPUに分担させるようにしてもよい。]
[0064] さらに、本発明を、さまざまなコンピュータ実行工程を実施するためのコンピュータコードが格納された、コンピュータ読み取り可能な媒体を備えるコンピュータ・ストレージ製品の態様で実現するようにしてもよい。媒体及びコンピュータコードは、本発明の趣旨に従って特別に設計及び構成されたものでもよいし、コンピュータソフトウェア分野の当業者に周知で利用可能な設計及び構成でもよい。コンピュータ読み取り可能な媒体の例としては、何らこれらに限定されるものではないが、ハードディスク、フレキシブルディスク、及び磁気テープ等の磁気媒体、CD−ROM及びホログラフィック装置等の光学媒体、フロプティカルディスク等の光磁気媒体、並びに、特定用途向け集積回路(ASIC)、プログラマブル・ロジックデバイス(PLD)、及びROMデバイスやRAMデバイス等、プログラムコードを記憶して実行するように特別に構成されたハードウェアデバイスが挙げられる。コンピュータコードの例としては、コンパイラにより生成されるマシンコードやインタープリターを用いるコンピュータにより実行される高レベルコードを含むファイル等が挙げられる。コンピュータ読み取り可能な媒体は、搬送波のコンピュータデータ信号により送信され、プロセッサにより実行可能な一連の支持を示すコンピュータコードでもよい。]
[0065] 本発明の手法は、たとえば、3次元集積回路(3DIC)デバイスで利用可能なシリコン貫通ビア(Si貫通電極:TSV)等のフィーチャーの形成に適用可能である。シリコン貫通ビアTSVは、シリコン基板にエッチング形成されるフィーチャー(特徴部)であり、深さは、たとえば、5ミクロンないし400ミクロン、限界寸法は、たとえば、約1ミクロンないし100ミクロンである。シリコン貫通ビアTSVは、CMOSデバイス製造スキームの任意の時点で形成可能であり、3ミクロンから5ミクロンの厚さに過ぎない通常のCMOSデバイスと比べて、比較的大きい。シリコン貫通ビアTSVを用いることにより、3DICデバイスの異なったレベルにおいてCMOS回路へのより直接的な接続が可能になる。3DICデバイス統合スキームにシリコン貫通ビアTSVを組み込むことにより、最終的なデバイスのフォームファクターをより小さく、かつ、充填密度をより高くすることができ、デバイス速度の増大や消費電力の抑制など、性能の改善につながる。]
[0066] シリコン貫通ビアTSVを組み込んだ3DICデバイスの一例を図5に示す。3D積層デバイス500は、シリコンウエハー510、520及び530を備え、各シリコンウエハー510、520及び530は物理的な接合540により一体化されている。各シリコンウエハーは、金属充填シリコン貫通ビアTSV560を介して互いに直接接続される完成型2次元(2D)機能CMOSデバイス550の層を備える。すなわち、シリコン貫通ビアTSV560により、ある層(たとえば、520)の回路を上の層(たとえば、510)の回路及び/又は下の層(たとえば、530)の回路に直接接続することが可能になる。また、シリコン貫通ビアTSV570により、3次元集積回路デバイス500を(図示しない)外部電子回路に接続することも可能になる。] 図5
[0067] デバイス統合スキームにシリコン貫通ビアTSVを組み込むことは、処理コストの増大や処理の複雑化につながる可能性がある。たとえば、最終的なデバイスの電気的テストを行なうために、完成型CMOSデバイスには、通常、パッド等の専用フィーチャーが組み込まれる。多くの場合、(図2Aに例として示すように)パッド領域には、複数の導電層及び/又は複数の誘電体層が存在する。パッド領域をシリコン貫通ビアTSVの形成に用いる場合には、(たとえば、図2Bに示すように)シリコン基板にシリコン貫通ビアTSVを形成する前に、導電層と誘電体層とをすべて除去する必要がある。通常、各種の層は、それぞれ、専用処理チャンバ内で除去される。たとえば、金属含有層は金属エッチングチャンバで除去され、シリコン含有層はシリコンエッチングチャンバで除去され、誘電体層は誘電体エッチングチャンバで除去される。] 図2A 図2B
[0068] あるいは、デバイス統合スキームが、電気デバイス層が実質的に存在しないシリコン貫通ビアTSV用の専用領域を予め備えるようにして、デバイス層の除去に関係する処理コストの増大や処理の複雑化を避ける構成も可能である。ただし、このような予備的領域は、通常、パッド等の所望のデバイス接続位置から離れた場所にある。そのため、離れた場所にあるシリコン貫通ビアTSVをCMOSパッドに接続するために、複数のパターニング(パターン形成処理)、エッチング、メタライゼーション(金属化処理)、CMP及び洗浄ステップが必要となり、処理コストの増大や処理の複雑化につながる。]
[0069] 本発明の一実施形態では、複数の専用チャンバを用いるのではなく、一つの同じチャンバ内で各層の除去を行なうことができるため、存在する導電層及び/又は誘電体層の除去に関係する追加の処理ステップを最小限に抑えることができる。さらに、本発明の手法に従って導電層及び誘電体層を除去し、パッド領域にシリコン貫通ビアTSVを形成することにより、離れた場所にあるシリコン貫通ビアTSVと電気的に接続するための処理ステップが不要になる。したがって、本発明の手法は、3次元集積回路デバイスに用いられるシリコン貫通ビアTSV等のフィーチャー形成に、好適に適用可能である。]
[0070] 図8に、本発明の一実施例に従って、定常状態ガス流を用いてシリコン層にフィーチャーをエッチング形成する方法の概略を示す。この方法は、シリコンディープエッチング処理であり、上述したプラズマ処理システム300を用いる複数種類複数層の処理(たとえば、図1のステップ140)の一部として実行するようにしてもよいし、独立のシリコンエッチング処理として実行するようにしてもよい。シリコンディープエッチング処理を行なって、たとえば、BEOL(Back end of line:配線工程)前のCMOSデバイス形成工程前又は形成工程後に、シリコン貫通ビアTSVを形成するようにしてもよい(Via−first法)し、又は、BEOL(配線工程)後の接合工程前又は接合工程後に、シリコン貫通ビアTSVを形成するようにしてもよい(Via−last法)。上述した実施例では、SF6、O2、SiF4及びHBrを含むエッチングガスを用いて、切替なしの連続的方法で(定常状態で)シリコン基板にフィーチャーをエッチング形成する。SF6を主要エッチング液成分として用い、また、O2は、シリコンと反応して耐久性のあるSiO2層を形成する(側壁不動態化)ため、側壁保護の目的で添加する。本実施例において、酸化特性の増大と側壁のプロファイル制御とを可能にするため、O2を部分的又は全面的に他の酸素含有ガス(たとえば、SO2)に置き換えるようにしてもよい。同様に、フッ素含有ガス(たとえば、SF6)を主要エッチング液成分として用いて、不動態化酸化剤として酸素含有ガスを用いる構成も可能である。図9は、本発明の一実施例に従って、マスクを用いるシリコンディープエッチング処理を示す概略断面図である。マスク902は、フォトレジスト(PR)マスク及び/又はハードマスクでもよい。図9に示すように、フッ素系化合物がシリコン層を通りぬけて、鉛直方向にフィーチャーをエッチング形成する一方で、側壁904は酸化シリコン(SiOx)層906により保護される。バイアス電圧によりエッチングの垂直度が向上する。SiF4を添加することにより、マスク下のアンダーカットが抑制できる。] 図1 図8 図9
[0071] 図10は、本実施例におけるシリコンディープエッチングに利用可能なプラズマ処理システム700の概略図である。プラズマ処理システム700は、プラズマ処理システム300とほぼ同様の構成である。同一の構成要素は同一の参照番号で示し、当業者に自明であるため、これらの構成要素に関しては、これ以上の説明は加えない。図10に示すように、プラズマ処理システム700は、制御部336で制御可能な酸素含有ガス源730と、フッ素含有ガス源732と、SiF4ガス源734と、他のガス源736とを含むガス源を備える。制御部は、図4A及び図4Bを参照して上述したように実装可能である。] 図10 図4A 図4B
[0072] 図8を再び参照して、説明を続ける。(SO2等の)酸素含有ガス及びフッ素含有ガスを含むエッチングガスを、シリコン層が置かれたエッチングチャンバ内に供給する(602)。ここで、シリコン層は、シリコン基板(たとえば、結晶シリコン)でもよいし、他のシリコン層でもよい。エッチングガスからプラズマを発生させる(604)。バイアス電圧を印加して(606)、プラズマを用いてシリコン層にフィーチャーをエッチング形成する(608)。その後、プラズマチャンバへのエッチングガスの流入を遮断する(610)。] 図8
[0073] バイアス電圧は、5ボルト以上であり、5ボルトないし500ボルトの範囲が望ましいが、数百ボルトの値でもよい。酸素含有ガスは、不動態化ガスとして機能し、SO2、CO2、CO、NO2又はN2Oの少なくとも一種類が用いられる。酸素含有ガスは、望ましくはSO2である。さらに、O2を酸素含有ガスとして用いることもできる。フッ素含有ガスは、エッチング剤として、SF6又はNF3が用いられ、望ましくはSF6である。エッチングガスが、さらに、SiF4、HBr、C4H8及び/又は他のフッ化炭素を添加剤として含むようにしてもよい。また、エッチングガスが、BCO3、B2H6、BCl3等のホウ素(B)含有ガスを、酸素含有ガスに加えて、不動態化ガスとして含むようにしてもよい。]
[0074] 一例として、約100mTorrの圧力で、流量約300sccmのSF6と流量約0ないし150sccmの範囲のO2と流量約0ないし200sccmの範囲のSO2(望ましくは、流量30ないし150sccmのSO2)と流量約150sccmのSiF4とを含むエッチングガスを用いて、約2500ワットのプラズマ出力供給、及び250ボルトのウエハーバイアス電圧の条件でシリコンエッチング処理を行なってもよい。SO2を添加すること、又は、O2をSO2で置き換えることにより、予想以上にアンダーカット及び側壁面の粗さを抑制することができ、また、ビアのプロファイル(輪郭)のテーパ度を増大させることができた。たとえば、元々のエッチングガス組成における約40%ないし50%のO2をSO2に置き換えることにより、マスク下のアンダーカットを抑制することができる。他の条件がすべて同じならば、O2に対するSO2の量/比を増大させることにより、テーパ度の高いプロファイルを実現することが可能になる。バイアス電圧及び/又は総流量も、テーパ度に影響を与える因子である。したがって、これらのパラメータを調節することにより、所定のフィーチャー仕様を満たすように、フィーチャーのテーパ度を制御可能である。]
[0075] SF6/SO2/O2化学組成にSiF4を添加することにより、予想以上に湾曲を抑制し、アンダーカットを抑制し、テーパ度が高いビアにおける底面の限界寸法CDを大きくすることが可能になる。たとえば、約100mTorrの圧力で、流量約300sccmのSF6と、流量約120sccmのO2と、流量約30sccmのSO2と、流量約0sccmのSiF4とを含むエッチングガスを用いて、約2500ワットのプラズマ出力供給、及び250ボルトのウエハーバイアス電圧の条件でエッチング処理を行なうことにより、テーパ度が高いビア(上面の限界寸法CDが約35μmであり、底面の限界寸法CDが約8μm)を形成することができた。また、約100mTorrの圧力で、流量約300sccmのSF6と、流量約120sccmのO2と、流量約30から15sccmの(に減少させた)SO2と、流量約150sccmのSiF4とを含むエッチングガスを用いて、約2500ワットのプラズマ出力供給、及び250ボルトのウエハーバイアス電圧の条件でエッチング処理を行なうことにより、より大きな底面の限界寸法CDを備えるテーパ度が低いプロファイル(上面の限界寸法CDが約34μmであり、底面の限界寸法CDが約24μm)を形成することができた。エッチングが、孔(ビア)内の奥深くに進むにつれて、エッチング反応が変化する。したがって、エッチングの進行とともにプロファイルを制御するために、SO2や他の不動態化処理ガス成分の比を増減させたり、バイアス電圧、出力、及び/又は、圧力を増減させることにより、所望のプロファイルを実現することができる。]
[0076] 本発明の一実施例に従って、酸化/不動態化ガス成分を、純粋なO2ガスからSO2、CO2、CO、NO2、N2O、若しくはこれらの任意の組み合わせ、又は、これらのガスのいずれか一つまたは複数とO2との組み合わせに変更することができる。異なる酸化ガス成分を用いることにより、定常状態エッチングの際の側壁保護層(不動態化層)の特性を変えることができる。SO2を不動態化ガスとして用いると、不動態化層にSiOxが含有される一方、O2のみを不動態化ガスとして用いると、不動態化層の主組成はSiO2となる。また、不動態化ガスとしてN2O、NO2、NH3、及び/又は、N2を用いると、不動態化層の組成はSiN又はSiONとなる。さらに、CO2及び/又はCOを不動態化ガスとして用いると、不動態化層の組成はSiC又はSiOCとなる。また、酸素または酸素含有ガスにホウ素含有化合物等の他の化合物を添加することにより、シリコンエッチング工程における側壁面の不動態化を変更することができる。この場合には、SiOBN又はSiBNを主成分とする側壁不動態化層が得られる。不動態化層の構造を変更することにより、不動態化層の特性や性能に影響を与え、ひいては、エッチング特性やフィーチャー(特徴物)のプロファイル(輪郭)に影響を与えることができる。]
[0077] さらに、ボッシュ様処理の不動態化ステップにおいて、少量のSO2等の硫黄含有ガス又はSiF4等の他のガスをC4F8ガスに添加して、側壁上の炭素系不動態化層の特性を変えるようにしてもよい。このような添加により、C−C結合とは異なる特性を有するC−S結合又はC−Si結合が形成される。これにより、不動態化ステップに必要な時間が削減でき、及び/又は、析出及びエッチング処理を繰り返すことによる側壁のプロファイル制御が可能になる。]
[0078] 本発明の手法は、シリコンディープエッチングに適しており、特に、高アスペクト比のシリコンディープエッチングに適している。たとえば、フィーチャーのアスペクト比を少なくとも80としてもよいし、又は、フィーチャーの深さを少なくとも80μmとしてもよい。]
[0079] 以上、本発明をいくつかの実施例を参照して詳述したが、本発明は上記の実施例に限られるものではなく、本発明の要旨を逸脱しない範囲において、さまざまに変形や置換や変更が可能であり、種々の等価の形態も含まれる。また、本発明の方法や装置はさまざまな構成で実現可能である。したがって、以下の特許請求の範囲は、本発明の要旨を逸脱しない範囲における種々の変形や置換や変更及び種々の等価物をすべて含む、と解するべきである。]
权利要求:

請求項1
少なくとも一つの導電層、少なくとも一つの誘電体層、及びシリコン基板にパターニングされたフィーチャーをエッチングする方法であって、a)シリコンウエハーを処理チャンバ内に入れる工程であって、前記シリコンウエハーには、前記少なくとも一つの導電層及び前記少なくとも一つの誘電体層が載置されている、工程と、b)少なくとも一つの導電層にエッチングを行なう工程であって、導電層エッチングガスを前記処理チャンバ内に流入させ、前記導電層エッチングガスからプラズマを発生させ、前記導電層エッチングガスから発生させたプラズマを用いて前記少なくとも一つの導電層にエッチングを行い、前記導電層エッチングガス流を遮断する、工程と、c)少なくとも一つの誘電体層にエッチングを行なう工程であって、誘電体エッチングガスを前記処理チャンバ内に流入させ、前記誘電体エッチングガスからプラズマを発生させ、前記誘電体エッチングガスから発生させたプラズマを用いて前記少なくとも一つの誘電体層にエッチングを行い、前記誘電体エッチングガス流を遮断する、工程と、d)前記シリコン基板をエッチングする工程であって、シリコンエッチングガスを前記処理チャンバ内に流入させ、前記シリコンエッチングガスからプラズマを発生させて、前記シリコンエッチングガスから発生させたプラズマを用いて前記シリコンウエハーにエッチングを行ない、前記シリコンエッチングガス流を遮断する工程と、e)前記ウエハーを前記処理チャンバから取り出す工程と、を備える、方法。
請求項2
請求項1記載の方法であって、前記少なくとも一つの導電層が金属含有層である、方法。
請求項3
請求項1又は2に記載の方法であって、前記少なくとも一つの誘電体層がシリコン含有層である、方法。
請求項4
請求項1又は2に記載の方法であって、定常状態のガス流を用いて、前記シリコンウエハーをエッチングする、方法。
請求項5
請求項4に記載の方法であって、前記定常状態のガス流が、SF6、O2、SiF4、及びHBrを含む、方法。
請求項6
請求項1又は2に記載の方法であって、さらに、前記シリコンウエハーを前記処理チャンバ内に入れた後、前記エッチング処理を開始する前に、同じ処理チャンバ内でプレエッチング処理を行なう工程であって、プレエッチング処理ガスを供給して、前記プレエッチング処理ガスからプラズマを発生させて、前記プレエッチング処理ガスから発生させたプラズマを用いて前記ウエハー表面を処理する、工程を備える、方法。
請求項7
請求項6に記載の方法であって、前記プレエッチング処理ガスから発生させたプラズマが、露出される層の表面に存在する瑕疵を除去する、方法。
請求項8
請求項1又は2に記載の方法であって、前記工程bおよび前記工程cを、少なくとも2回、交互に繰り返す、方法。
請求項9
請求項1又は2に記載の方法であって、さらに、前記シリコン基板をエッチングした後、前記ウエハーを取り出す前に、同じ処理チャンバ内でポストエッチング処理を行なう工程であって、ポストエッチング処理ガスを供給して、前記ポストエッチング処理ガスからプラズマを発生させて、前記ポストエッチング処理ガスから発生させたプラズマを用いて前記ウエハー表面を処理する、工程を備える、方法。
請求項10
請求項9に記載の方法であって、前記ポストエッチング処理ガスから発生させたプラズマが、前記シリコン基板のエッチング完了後に前記ウエハー上に残ったフォトレジストを除去する、方法。
請求項11
定常状態のガス流を用いて、シリコンウエハーにフィーチャーをエッチングする方法であって、プラズマ処理チャンバにウエハーを入れる工程と、SF6、SiF4、HBr及びO2を含む定常状態のガス流を供給する工程と、前記定常状態のガス流からプラズマを発生させる工程と、前記定常状態のガス流から発生させたプラズマを用いて前記シリコンウエハーにエッチングを行なう工程と、前記ウエハーを前記プラズマ処理チャンバから取り出す工程と、を備える方法。
請求項12
請求項11に記載の方法であって、SiF4の濃度が、前記定常状態の総ガス流の少なくとも10%である、方法。
請求項13
請求項11又は12に記載の方法であって、前記シリコンにエッチングされるフィーチャーの深さが少なくとも1ミクロンである、方法。
請求項14
導電層、誘電体層、及びシリコン基板にフィーチャーをエッチングする装置であって、プラズマ処理チャンバを備え、前記プラズマ処理チャンバは、真空チャンバと、前記真空チャンバの側面を形成する誘電体ウィンドウと、前記誘電体ウィンドウに隣接し、プラズマを持続させるための出力を供給する少なくとも一つのアンテナと、前記少なくとも一つのアンテナに電気的に接続される少なくとも一つのプラズマ電源であって、5000ワット以上の電力を供給可能なプラズマ電源と、誘電体ウィンドウ冷却システムであって、前記誘電体ウィンドウが前記誘電体ウィンドウ冷却システムに面して配置され、冷却ガス源と、前記誘電体ウィンドウから離れて配置されるエンクロージャー壁であって、前記エンクロージャー壁から前記誘電体ウィンドウまで伸長するエンクロージャーを形成するエンクロージャー壁と、冷却ガスを前記エンクロージャーに導入する入力管と、前記エンクロージャーから冷却ガス流を排出させる出力管と、前記入力管及び前記出力管内で前記冷却ガスを動かすポンプと、を備える誘電体ウィンドウ冷却システムと、前記プラズマ処理チャンバ内部でシリコン基板を支持する基板支持部と、前記プラズマ処理チャンバ内の圧力を調整する圧力調整器と、前記プラズマ処理チャンバ内にガスを導入するガス導入口と、前記プラズマ処理チャンバからガスを排出するガス排出口と、前記ガス導入口と流体接続されるガス源と、を備える装置。
請求項15
請求項14に記載の装置であって、前記ガス源が、導電層エッチングガス源と、誘電体層エッチングガス源と、シリコン基板エッチングガス源と、を備える、装置。
請求項16
請求項14又は15に記載の装置であって、前記シリコン基板ガス源が、SF6ガス源と、HBrガス源と、O2ガス源と、SiF4ガス源と、を備える、装置。
請求項17
フィーチャーをエッチングする装置であって、プラズマ処理チャンバを備え、前記プラズマ処理チャンバは、真空チャンバと、前記真空チャンバの側面を形成する誘電体ウィンドウと、前記誘電体ウィンドウに隣接し、プラズマを持続させるための出力を供給する少なくとも一つのアンテナと、前記少なくとも一つのアンテナに電気的に接続される少なくとも一つのプラズマ電源と、前記プラズマ処理チャンバ内部でシリコン基板を支持する基板支持部と、前記プラズマ処理チャンバ内の圧力を調整する圧力調整器と、前記プラズマ処理チャンバ内にガスを導入するガス導入口と、前記プラズマ処理チャンバからガスを排出するガス排出口と、前記ガス導入口と流体接続されるガス源であって、導電層エッチングガス源と、誘電体層エッチングガス源と、シリコン基板エッチングガス源と、を備えるガス源と、前記ガス源及び前記少なくとも一つのアンテナに制御可能に接続される制御装置であって、少なくとも一つのプロセッサと、コンピュータ読み取り可能な媒体と、を備える制御装置と、を備え、前記コンピュータ読み取り可能な媒体が、導電層をエッチングするためのコンピュータ読み取り可能なコードであって、前記導電層エッチングガス源から前記プラズマ処理チャンバに導電層エッチングガスを流入させるためのコンピュータ読み取り可能なコードと、前記導電層エッチングガスをプラズマに変換させるためのコンピュータ読み取り可能なコードと、前記導電層エッチングガス源から前記プラズマ処理チャンバへの前記導電層エッチングガスの流入を遮断するためのコンピュータ読み取り可能なコードと、を備える導電層エッチング用のコンピュータ読み取り可能なコードと、誘電体層をエッチングするためのコンピュータ読み取り可能なコードであって、前記誘電体層エッチングガス源から前記プラズマ処理チャンバに誘電体層エッチングガスを流入させるためのコンピュータ読み取り可能なコードと、前記誘電体層エッチングガスをプラズマに変換させるためのコンピュータ読み取り可能なコードと、前記誘電体層エッチングガス源から前記プラズマ処理チャンバへの前記誘電体層エッチングガスの流入を遮断するためのコンピュータ読み取り可能なコードと、を備える誘電体層エッチング用のコンピュータ読み取り可能なコードと、シリコン基板にエッチングを行なうコンピュータ読み取り可能なコードであって、前記シリコン基板エッチングガス源から前記プラズマ処理チャンバにシリコン基板エッチングガスを流入させるためのコンピュータ読み取り可能なコードと、前記シリコン基板エッチングガスをプラズマに変換させるためのコンピュータ読み取り可能なコードと、前記シリコン基板エッチングガス源から前記プラズマ処理チャンバへの前記シリコン基板エッチングガスの流入を遮断するためのコンピュータ読み取り可能なコードと、を備えるシリコン基板エッチング用のコンピュータ読み取り可能なコードと、を備える、装置。
請求項18
請求項17に記載の装置であって、前記シリコン基板ガス源が、SF6ガス源と、HBrガス源と、O2ガス源と、SiF4ガス源と、を備える、装置。
請求項19
定常状態のガス流を用いて、シリコン層にフィーチャーをエッチングする方法であって、酸素含有ガスとフッ素含有ガスとを含むエッチングガスを供給する工程と、前記エッチングガスからプラズマを発生させる工程と、バイアス電圧を印加する工程と、前記プラズマを用いて、前記シリコン層にフィーチャーをエッチングする工程と、前記エッチングガス流を遮断する工程と、を備える方法。
請求項20
請求項19に記載の方法であって、前記バイアス電圧が5ボルト以上である、方法。
請求項21
請求項19又は20に記載の方法であって、前記酸素含有ガスが、SO2、CO2、CO、NO2、又はN2Oの少なくともいずれか一種類を含む、方法。
請求項22
請求項21に記載の方法であって、前記酸素含有ガスが、さらに、O2を含む、方法。
請求項23
請求項19又は20に記載の方法であって、前記フッ素含有ガスが、SF6又はNF3を含む、方法。
請求項24
請求項23に記載の方法であって、前記エッチングガスが、さらに、SiF4を含む、方法。
請求項25
請求項19又は20に記載の方法であって、前記エッチングガスが、さらに、HBrを含む、方法。
請求項26
請求項19又は20に記載の方法であって、前記酸素含有ガスがSO2とO2とを含み、前記方法が、さらに、SO2とO2の総流量又はO2に対するSO2の比の少なくともいずれか一つを制御して、フィーチャーのテーパ度を制御する工程を備える、方法。
請求項27
請求項26記載の方法であって、さらに、前記エッチング処理の間、SO2の流量を減少させる工程を備える、方法。
請求項28
請求項19又は20に記載の方法であって、前記エッチングガスが、さらに、C4F8を含む、方法。
請求項29
請求項19又は20に記載の方法であって、前記エッチングガスが、さらに、ホウ素含有ガスを含む、方法。
請求項30
請求項19又は20に記載の方法であって、前記エッチング処理の際に、前記フィーチャーのアスペクト比が少なくとも80である、方法。
請求項31
請求項19又は20に記載の方法であって、前記エッチング処理の際に、前記フィーチャーの深さが少なくとも80μmである、方法。
請求項32
シリコン層にフィーチャーをエッチングする装置であって、プラズマ処理チャンバを備え、前記プラズマ処理チャンバは、前記プラズマ処理チャンバは、真空チャンバと、前記真空チャンバの側面を形成する誘電体ウィンドウと、前記誘電体ウィンドウに隣接し、プラズマを持続させるための出力を供給する少なくとも一つのアンテナと、前記少なくとも一つのアンテナに電気的に接続される少なくとも一つのプラズマ電源と、前記プラズマ処理チャンバ内部でシリコン基板を支持する基板支持部と、前記プラズマ処理チャンバ内の圧力を調整する圧力調整器と、前記プラズマ処理チャンバ内にガスを導入するガス導入口と、前記プラズマ処理チャンバからガスを排出するガス排出口と、前記ガス導入口と流体接続されるガス源であって、酸素含有ガス源と、フッ素含有ガス源と、任意の添加ガス源と、を備えるガス源と、前記ガス源及び前記少なくとも一つのアンテナに制御可能に接続される制御装置であって、少なくとも一つのプロセッサと、コンピュータ読み取り可能な媒体と、を備える制御装置と、を備え、前記コンピュータ読み取り可能な媒体が、前記ガス源から前記プラズマ処理チャンバに、酸素含有ガスとフッ素含有ガスとを含むエッチングガスを流入させるためのコンピュータ読み取り可能なコードと、前記エッチングガスからプラズマを発生させるためのコンピュータ読み取り可能なコードと、バイアス電圧を印加するためのコンピュータ読み取り可能なコードと、前記プラズマを用いて、前記シリコン層にフィーチャーをエッチングするためのコンピュータ読み取り可能なコードと、前記ガス源から前記プラズマ処理チャンバへの前記エッチングガスの流入を遮断するためのコンピュータ読み取り可能なコードと、を備える、装置。
請求項33
請求項32に記載の装置であって、前記酸素含有ガス源がSO2ガス源を備え、前記フッ素含有ガス源がSF6ガス源を備え、前記任意の添加ガス源がSiF4ガス源を備える、装置。
請求項34
請求項1ないし3の何れかに記載の方法であって、前記シリコンウエハーを定常状態のガス流を用いてエッチングする、方法。
請求項35
請求項34に記載の方法であって、前記定常状態のガス流が、SF6、O2、SiF4、及びHBrを含む、方法。
請求項36
請求項1ないし3並びに請求項34及び35のいずれかに記載の方法であって、さらに、前記シリコンウエハーを前記処理チャンバ内に入れた後、前記エッチング処理を開始する前に、同じ処理チャンバ内でプレエッチング処理を行なう工程であって、プレエッチング処理ガスを供給して、前記プレエッチング処理ガスからプラズマを発生させて、前記プレエッチング処理ガスから発生させたプラズマを用いて前記ウエハー表面を処理する、工程を備える、方法。
請求項37
請求項36に記載の方法であって、前記プレエッチング処理ガスから発生させたプラズマが、露出される層の表面に存在する瑕疵を除去する、方法。
請求項38
請求項1ないし3及び請求項34ないし37のいずれかに記載の方法であって、前記工程bおよび前記工程cを、少なくとも2回、交互に繰り返す、方法。
請求項39
請求項1ないし3及び請求項34ないし38のいずれかに記載の方法であって、さらに、前記シリコン基板をエッチングした後、前記ウエハーを取り出す前に、同じ処理チャンバ内でポストエッチング処理を行なう工程であって、ポストエッチング処理ガスを供給して、前記ポストエッチング処理ガスからプラズマを発生させて、前記ポストエッチング処理ガスから発生させたプラズマを用いて前記ウエハー表面を処理する、工程を備える、方法。
請求項40
請求項39に記載の方法であって、前記ポストエッチング処理ガスから発生させたプラズマが、前記シリコン基板のエッチング完了後に前記ウエハー上に残ったフォトレジストを除去する、方法。
請求項41
請求項19ないし22のいずれかに記載の方法であって、前記フッ素含有ガスがSF6又はNF3を含む、方法。
請求項42
請求項41に記載の方法であって、前記エッチングガスが、さらに、SiF4を含む、方法。
請求項43
請求項19ないし22並びに請求項41及び42のいずれかに記載の方法であって、前記エッチングガスが、さらに、HBrを含む、方法。
請求項44
請求項19ないし20及び請求項41ないし43のいずれかに記載の方法であって、前記酸素含有ガスがSO2とO2とを含み、前記方法が、さらに、SO2とO2の総流量又はO2に対するSO2の比の少なくともいずれか一つを制御して、フィーチャーのテーパ度を制御する工程を備える、方法。
請求項45
請求項44記載の方法であって、さらに、前記エッチング処理の間、SO2の流量を減少させる工程を備える、方法。
請求項46
請求項19ないし22及び請求項41ないし45のいずれかに記載の方法であって、前記エッチングガスが、さらに、C4F8を含む、方法。
請求項47
請求項19ないし22及び請求項41ないし46のいずれかに記載の方法であって、前記エッチングガスが、さらに、ホウ素含有ガスを含む、方法。
請求項48
請求項19ないし22及び請求項41ないし47のいずれかに記載の方法であって、前記エッチング処理の際に、前記フィーチャーのアスペクト比が少なくとも80である、方法。
請求項49
請求項19ないし22及び請求項41ないし48のいずれかに記載の方法であって、前記エッチング処理の際に、前記フィーチャーの深さが少なくとも80μmである、方法。
类似技术:
公开号 | 公开日 | 专利标题
US9275872B2|2016-03-01|Method for forming stair-step structures
KR101573949B1|2015-12-02|산화물 스페이서를 이용한 피치 감소
JP4971978B2|2012-07-11|ガス化学反応および炭化水素付加の周期的変調を用いたプラズマストリッピング方法
KR101904576B1|2018-10-04|플라즈마 에칭 방법
US7081407B2|2006-07-25|Method of preventing damage to porous low-k materials during resist stripping
CN102655086B|2015-07-01|半导体器件的制造方法
KR101257532B1|2013-04-23|감소된 라인 에지 거칠기를 갖는 피처 에칭
TWI506691B|2015-11-01|利用電漿增強氧化進行鈍化之矽蝕刻
TWI420594B|2013-12-21|去氟化製程
KR101941827B1|2019-01-23|실리콘 식각을 위한 무기의 급속 교번 프로세스
TWI529800B|2016-04-11|高深寬比之介電層蝕刻方法與裝置
TWI357094B|2012-01-21|Reduction of feature critical dimensions
US6949460B2|2005-09-27|Line edge roughness reduction for trench etch
JP4579611B2|2010-11-10|ドライエッチング方法
US7390749B2|2008-06-24|Self-aligned pitch reduction
JP4777337B2|2011-09-21|エッチング方法及び装置
US8124516B2|2012-02-28|Trilayer resist organic layer etch
KR20150034660A|2015-04-03|조합형 마스크를 이용한 고 애스팩트 비 에칭
US20140134847A1|2014-05-15|Plasma etching method and plasma etching apparatus
US8329585B2|2012-12-11|Method for reducing line width roughness with plasma pre-etch treatment on photoresist
JP2013239729A|2013-11-28|超高アスペクト比の誘電体パルスエッチング
TWI297179B|2008-05-21|Use of ammonia for etching organic low-k dielectrics
US7429533B2|2008-09-30|Pitch reduction
CN101971291B|2013-04-03|双掩模自对准双图案化技术|工艺
TWI528447B|2016-04-01|利用化學氣相沉積進行鈍化之矽蝕刻
同族专利:
公开号 | 公开日
US20160233102A1|2016-08-11|
JP5833600B2|2015-12-16|
WO2009085672A3|2009-09-03|
US9865472B2|2018-01-09|
JP2013243379A|2013-12-05|
CN103258729A|2013-08-21|
TW200945433A|2009-11-01|
WO2009085672A2|2009-07-09|
CN103258729B|2016-07-06|
CN101903989A|2010-12-01|
CN101903989B|2013-04-17|
KR101588909B1|2016-02-12|
TWI469211B|2015-01-11|
US20090184089A1|2009-07-23|
US9330926B2|2016-05-03|
KR20100105725A|2010-09-29|
JP5710267B2|2015-04-30|
引用文献:
公开号 | 申请日 | 公开日 | 申请人 | 专利标题
JPH02201925A|1989-01-30|1990-08-10|Fuji Electric Co Ltd|Deep-groove etching|
JPH1092798A|1996-07-16|1998-04-10|Applied Materials Inc|単結晶シリコンのエッチング方法|
JPH10256260A|1997-03-11|1998-09-25|Sony Corp|高融点金属系材料層を有するゲート電極の形成方法、及び高融点金属系材料層を有するゲート電極を備えた半導体装置の製造方法|
JP2005175460A|2003-11-19|2005-06-30|Matsushita Electric Ind Co Ltd|プラズマ処理装置|
WO2006083592A1|2005-02-03|2006-08-10|Lam Research Corporation|Method for reducing critical dimensions using multiple masking steps|
JP2007088168A|2005-09-21|2007-04-05|Fuji Electric Holdings Co Ltd|半導体装置の製造方法|
JP2007103876A|2005-10-07|2007-04-19|Hitachi High-Technologies Corp|エッチング方法およびエッチング装置|JP2012204510A|2011-03-24|2012-10-22|Ulvac Japan Ltd|シリコン基板のエッチング方法、及びシリコン基板のエッチング装置|
WO2014010499A1|2012-07-10|2014-01-16|東京エレクトロン株式会社|プラズマ処理方法及びプラズマ処理装置|
JP2014022655A|2012-07-20|2014-02-03|Tokyo Electron Ltd|エッチング方法|
KR20140111599A|2013-03-11|2014-09-19|도쿄엘렉트론가부시키가이샤|플라즈마 에칭 방법|
KR102169565B1|2013-03-11|2020-10-23|도쿄엘렉트론가부시키가이샤|플라즈마 에칭 방법|KR950034523A|1994-05-24|1995-12-28|문정환|Semiconductor device manufacturing method|
JP3353492B2|1994-10-18|2002-12-03|ソニー株式会社|シリコン材料のパターニング方法|
JPH08115900A|1994-10-18|1996-05-07|Sony Corp|シリコン系材料層のパターニング方法|
US5863376A|1996-06-05|1999-01-26|Lam Research Corporation|Temperature controlling method and apparatus for a plasma processing chamber|
JPH1064881A|1996-08-20|1998-03-06|Hitachi Ltd|プラズマエッチング装置及びプラズマエッチング方法|
US6534409B1|1996-12-04|2003-03-18|Micron Technology, Inc.|Silicon oxide co-deposition/etching process|
DE19706682C2|1997-02-20|1999-01-14|Bosch Gmbh Robert|Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium|
US6127278A|1997-06-02|2000-10-03|Applied Materials, Inc.|Etch process for forming high aspect ratio trenched in silicon|
JPH1197414A|1997-09-25|1999-04-09|Sony Corp|酸化シリコン系絶縁膜のプラズマエッチング方法|
JPH11111686A|1997-10-01|1999-04-23|Nippon Telegr & Teleph Corp <Ntt>|低ガス圧プラズマエッチング方法|
US20020076935A1|1997-10-22|2002-06-20|Karen Maex|Anisotropic etching of organic-containing insulating layers|
US5904520A|1998-01-05|1999-05-18|Utek Semiconductor Corp.|Method of fabricating a CMOS transistor|
TW412792B|1999-02-10|2000-11-21|Applied Materials Inc|Etching back process for solving the plug loss|
US6458648B1|1999-12-17|2002-10-01|Agere Systems Guardian Corp.|Method for in-situ removal of side walls in MOM capacitor formation|
US6491835B1|1999-12-20|2002-12-10|Applied Materials, Inc.|Metal mask etching of silicon|
JP2001319925A|2000-05-12|2001-11-16|Chemitoronics Co Ltd|プラズマエッチング装置|
US6284666B1|2000-05-31|2001-09-04|International Business Machines Corporation|Method of reducing RIE lag for deep trench silicon etching|
US6566270B1|2000-09-15|2003-05-20|Applied Materials Inc.|Integration of silicon etch and chamber cleaning processes|
US6387804B1|2000-09-19|2002-05-14|Advanced Micro Devices, Inc.|Passivation of sidewall spacers using ozonated water|
US6680232B2|2000-09-22|2004-01-20|Fairchild Semiconductor Corporation|Trench etch with incremental oxygen flow|
JP4625229B2|2001-02-15|2011-02-02|アイメックImec|半導体デバイスの製造方法|
US6712983B2|2001-04-12|2004-03-30|Memsic, Inc.|Method of etching a deep trench in a substrate and method of fabricating on-chip devices and micro-machined structures using the same|
JP2002313776A|2001-04-19|2002-10-25|Toshiba Corp|ドライエッチング方法及びドライエッチング装置|
US6743727B2|2001-06-05|2004-06-01|International Business Machines Corporation|Method of etching high aspect ratio openings|
US6746961B2|2001-06-19|2004-06-08|Lam Research Corporation|Plasma etching of dielectric layer with etch profile control|
JP3527901B2|2001-07-24|2004-05-17|日立笠戸エンジニアリング株式会社|プラズマエッチング方法|
US7169255B2|2002-02-15|2007-01-30|Hitachi High-Technologies Corporation|Plasma processing apparatus|
TWI296132B|2001-10-31|2008-04-21|Mosden Aelan|Method of etching high aspect ratio features|
WO2003056617A1|2001-12-27|2003-07-10|Tokyo Electron Limited|Etching method and plasma etching device|
US7129178B1|2002-02-13|2006-10-31|Cypress Semiconductor Corp.|Reducing defect formation within an etched semiconductor topography|
DE10209763A1|2002-03-05|2003-10-02|Bosch Gmbh Robert|Vorrichtung und Verfahren zum anisotropen Plasmaätzen eines Substrates, insbesondere eines Siliziumkörpers|
JP4048802B2|2002-03-08|2008-02-20|富士電機デバイステクノロジー株式会社|トレンチ形成方法|
US7547635B2|2002-06-14|2009-06-16|Lam Research Corporation|Process for etching dielectric films with improved resist and/or etch profile characteristics|
JP4167542B2|2002-07-17|2008-10-15|積水化学工業株式会社|プラズマエッチング用ガス供給装置並びにプラズマエッチングシステム及び方法|
JP4184851B2|2003-03-31|2008-11-19|東京エレクトロン株式会社|プラズマ処理方法|
US6916746B1|2003-04-09|2005-07-12|Lam Research Corporation|Method for plasma etching using periodic modulation of gas chemistry|
US7348245B2|2003-04-28|2008-03-25|Renesas Technology Corp.|Semiconductor device and a method of manufacturing the same|
US6958112B2|2003-05-27|2005-10-25|Applied Materials, Inc.|Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation|
US7078312B1|2003-09-02|2006-07-18|Novellus Systems, Inc.|Method for controlling etch process repeatability|
US7135410B2|2003-09-26|2006-11-14|Lam Research Corporation|Etch with ramping|
US6949460B2|2003-11-12|2005-09-27|Lam Research Corporation|Line edge roughness reduction for trench etch|
US20050145341A1|2003-11-19|2005-07-07|Masaki Suzuki|Plasma processing apparatus|
DE10361635B4|2003-12-30|2010-05-06|Advanced Micro Devices, Inc., Sunnyvale|A method of manufacturing a spacer element for a line element by applying an etch stop layer applied by a high directional deposition technique and a spacer transistor|
US7202170B2|2004-01-20|2007-04-10|Taiwan Semiconductor Manufacturing Co., Ltd.|Method of improving etching profile of floating gates for flash memory devices|
US7358192B2|2004-04-08|2008-04-15|Applied Materials, Inc.|Method and apparatus for in-situ film stack processing|
US7285503B2|2004-06-21|2007-10-23|Applied Materials, Inc.|Hermetic cap layers formed on low-k films by plasma enhanced chemical vapor deposition|
US20060118519A1|2004-12-03|2006-06-08|Applied Materials Inc.|Dielectric etch method with high source and low bombardment plasma providing high etch rates|
US7645707B2|2005-03-30|2010-01-12|Lam Research Corporation|Etch profile control|
US20070056927A1|2005-09-14|2007-03-15|Tsou Len Y|Process and system for etching doped silicon|
KR100801308B1|2005-11-12|2008-02-11|주식회사 하이닉스반도체|고선택비 하드마스크를 이용한 트렌치 형성 방법 및 그를이용한 반도체소자의 소자분리 방법|
CN101461029B|2005-12-23|2011-03-30|Mks仪器股份有限公司|用于气体的下游离解的方法和装置|
US7780865B2|2006-03-31|2010-08-24|Applied Materials, Inc.|Method to improve the step coverage and pattern loading for dielectric films|
US7807219B2|2006-06-27|2010-10-05|Lam Research Corporation|Repairing and restoring strength of etch-damaged low-k dielectric materials|
KR100763514B1|2006-06-30|2007-10-04|삼성전자주식회사|반도체 장치의 개구 형성 방법 및 이를 이용한 반도체 장치제조 방법|
US7829465B2|2006-08-09|2010-11-09|Shouliang Lai|Method for plasma etching of positively sloped structures|
US8124516B2|2006-08-21|2012-02-28|Lam Research Corporation|Trilayer resist organic layer etch|
WO2009085672A2|2007-12-21|2009-07-09|Lam Research Corporation|Fabrication of a silicon structure and deep silicon etch with profile control|
US8173547B2|2008-10-23|2012-05-08|Lam Research Corporation|Silicon etch with passivation using plasma enhanced oxidation|
US9018098B2|2008-10-23|2015-04-28|Lam Research Corporation|Silicon etch with passivation using chemical vapor deposition|US10651063B2|2005-06-18|2020-05-12|Frederick A. Flitsch|Methods of prototyping and manufacturing with cleanspace fabricators|
US8283255B2|2007-05-24|2012-10-09|Lam Research Corporation|In-situ photoresist strip during plasma etching of active hard mask|
US9059116B2|2007-11-29|2015-06-16|Lam Research Corporation|Etch with pulsed bias|
WO2009085672A2|2007-12-21|2009-07-09|Lam Research Corporation|Fabrication of a silicon structure and deep silicon etch with profile control|
US20110061812A1|2009-09-11|2011-03-17|Applied Materials, Inc.|Apparatus and Methods for Cyclical Oxidation and Etching|
US20110061810A1|2009-09-11|2011-03-17|Applied Materials, Inc.|Apparatus and Methods for Cyclical Oxidation and Etching|
US20110065276A1|2009-09-11|2011-03-17|Applied Materials, Inc.|Apparatus and Methods for Cyclical Oxidation and Etching|
US8173547B2|2008-10-23|2012-05-08|Lam Research Corporation|Silicon etch with passivation using plasma enhanced oxidation|
KR20110000960A|2009-06-29|2011-01-06|삼성전자주식회사|반도체 칩, 스택 모듈, 메모리 카드 및 그 제조 방법|
US20110130007A1|2009-10-26|2011-06-02|Applied Materials, Inc.|In-situ clean to reduce metal residues after etching titanium nitride|
TW201216354A|2010-10-05|2012-04-16|Univ Nat Taiwan Science Tech|Method for etching high-aspect-ratio features|
US8133349B1|2010-11-03|2012-03-13|Lam Research Corporation|Rapid and uniform gas switching for a plasma etch process|
JP2012124351A|2010-12-09|2012-06-28|Toshiba Corp|集積回路装置の製造方法|
JP5654359B2|2011-01-06|2015-01-14|株式会社アルバック|プラズマエッチング方法、及びプラズマエッチング装置|
WO2012122064A1|2011-03-04|2012-09-13|Tokyo Electron Limited|Method of etching silicon nitride films|
US8440473B2|2011-06-06|2013-05-14|Lam Research Corporation|Use of spectrum to synchronize RF switching with gas switching during etch|
US8609548B2|2011-06-06|2013-12-17|Lam Research Corporation|Method for providing high etch rate|
US8598040B2|2011-09-06|2013-12-03|Lam Research Corporation|ETCH process for 3D flash structures|
US9978565B2|2011-10-07|2018-05-22|Lam Research Corporation|Systems for cooling RF heated chamber components|
KR102046193B1|2012-02-01|2019-11-18|도쿄엘렉트론가부시키가이샤|플라스마 에칭 방법 및 플라스마 에칭 장치|
US20130203259A1|2012-02-07|2013-08-08|Lam Research Corporation|Pressure control valve assembly of plasma processing chamber and rapid alternating process|
FR2990757B1|2012-05-15|2014-10-31|Commissariat Energie Atomique|CAPACITIVE CAPACITOR WITH POROUS MATERIAL HAVING AN IMPROVED ARRANGEMENT|
US9041210B2|2012-06-19|2015-05-26|International Business Machines Corporation|Through silicon via wafer and methods of manufacturing|
US10283615B2|2012-07-02|2019-05-07|Novellus Systems, Inc.|Ultrahigh selective polysilicon etch with high throughput|
US9159574B2|2012-08-27|2015-10-13|Applied Materials, Inc.|Method of silicon etch for trench sidewall smoothing|
US9054050B2|2013-11-06|2015-06-09|Tokyo Electron Limited|Method for deep silicon etching using gas pulsing|
US9558928B2|2014-08-29|2017-01-31|Lam Research Corporation|Contact clean in high-aspect ratio structures|
US10490429B2|2014-11-26|2019-11-26|Applied Materials, Inc.|Substrate carrier using a proportional thermal fluid delivery system|
CN105161422A|2015-07-30|2015-12-16|上海华虹宏力半导体制造有限公司|超级结深沟槽的制造方法|
JP6327295B2|2015-08-12|2018-05-23|セントラル硝子株式会社|ドライエッチング方法|
US10236442B2|2015-10-15|2019-03-19|Samsung Electronics Co., Ltd.|Methods of forming an interconnection line and methods of fabricating a magnetic memory device using the same|
CN105699429A|2016-01-08|2016-06-22|平高集团有限公司|一种微米级半导体传感器及其制备方法|
JP6603586B2|2016-01-19|2019-11-06|東京エレクトロン株式会社|プラズマ処理方法及びプラズマ処理装置|
JP2019121750A|2018-01-11|2019-07-22|東京エレクトロン株式会社|エッチング方法およびエッチング装置|
法律状态:
2011-11-26| A621| Written request for application examination|Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20111125 |
2012-07-13| A977| Report on retrieval|Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20120713 |
2012-07-25| A131| Notification of reasons for refusal|Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20120724 |
2012-10-24| A601| Written request for extension of time|Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20121023 |
2012-10-31| A602| Written permission of extension of time|Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20121030 |
2012-11-22| A521| Written amendment|Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20121121 |
2013-03-06| A02| Decision of refusal|Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20130305 |
2013-07-06| A521| Written amendment|Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20130705 |
2013-07-25| A521| Written amendment|Free format text: JAPANESE INTERMEDIATE CODE: A821 Effective date: 20130705 |
2013-07-30| A911| Transfer of reconsideration by examiner before appeal (zenchi)|Free format text: JAPANESE INTERMEDIATE CODE: A911 Effective date: 20130729 |
2013-09-24| A912| Removal of reconsideration by examiner before appeal (zenchi)|Free format text: JAPANESE INTERMEDIATE CODE: A912 Effective date: 20130920 |
2014-01-08| A601| Written request for extension of time|Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20140107 |
2014-01-14| A602| Written permission of extension of time|Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20140110 |
2014-09-20| A601| Written request for extension of time|Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20140919 |
2014-09-26| A602| Written permission of extension of time|Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20140925 |
2014-12-23| A521| Written amendment|Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20141222 |
2015-03-12| A61| First payment of annual fees (during grant procedure)|Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20150304 |
2015-03-13| R150| Certificate of patent or registration of utility model|Ref document number: 5710267 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |
2018-03-06| R250| Receipt of annual fees|Free format text: JAPANESE INTERMEDIATE CODE: R250 |
2019-03-05| R250| Receipt of annual fees|Free format text: JAPANESE INTERMEDIATE CODE: R250 |
2020-03-13| LAPS| Cancellation because of no payment of annual fees|
优先权:
申请号 | 申请日 | 专利标题
[返回顶部]